[ t.marko016 @ 08.04.2010. 17:42 ] @
Ovako ljudi imam PICKit2 i 16F84 i eksperimentisem s njima.

I kad povezem sve to sa diodom prebacim mu sledeci kod:
Code:

TRISA = 1
TRISB = 0
PORTB = 1

Onda se nista ne desava, kad stavim kristal od 10MHz i nravno prepravim kod da mi sat radi na istu ucestanost radi(trepce i svasta drugo osim da radi kao sto treba) samo kad stavim prst na kristal.
Prvo sam posumnjao da nema dobru vezu kristal sa MCU-om ali nije to jer sam proverio unimerom. Onda probam da samo prespojim oscilator tj. nozice
osc1 i osc2 onda ili pocne da treperi u razmacima otprilike 500ms ili zasvetli pa opet nece i sve tako.

Ali u svakom slucaju vise nece da radi neko sto radi.

Celo kolo programiram i proveravam na Prototip plocicu, proveravam ga tako sto koristim Target opciju na PICKit.

PIC sam programatorom sam povezao na sledeci nacin:

PIC16F84: PICKit2:
MCLR Vpp/MCLR
Vdd Vdd
Vss Vss
RB7 ICSPDAT PGD
RB6 ICSPDAT PGC

Radio sam ovakve glupe projekte sa starijim PIC-ovima i nisam imao ovakvih problema.
Dajte mi recep sta da stavim u kolo da mi samo gori prokleta dioda.

Unapred hvala.
[ yt1nvs @ 08.04.2010. 22:00 ] @
Ajde prvo nam reci sta si ti zamislio (u glavi) da ti odradi ovaj KOD gore?
[ bogdan.kecman @ 08.04.2010. 22:43 ] @
proveri
- MCLR pin (10K do VCC i 100nF do GND je kako ja volim da ga vezem, paralelno sa 100nF mozes da stavis taster za reset)
- decoupling kondenzatori blizu VCC/VSS pinova na mcu (ja volim da stavim kombo 33n + 100n + 1uF)
- koliki su ti kondenzatori od kristala prema gnd? (ako se ja dobro secam za 10MHz 22pF radi posao ok)
- da li imas bar 10uF na power rail-u (ja volim da turim 10uF + 1000uF)

[ t.marko016 @ 09.04.2010. 00:58 ] @
Ovako moze li obicna sema kako da isprogramiram pic sa pickit-om koji ca da pali i gasi diodu u odredjene intervale.
Posto ocigledno izgleda da sam ogranicen.

Ali ako moze najprostije sto je moguce da bih shvatio jer ako pravim semu kao do sada ja onda nista ne radim.

Hvala vam...

[Ovu poruku je menjao t.marko016 dana 09.04.2010. u 12:01 GMT+1]
[ rsinisa @ 09.04.2010. 09:05 ] @
Ako sam te ja dobro razumeo, upotrebio si 10 MHz kristal za 16F84? Ako je baš F84 (bez A) onda on ne može da radi na 10 MHz, njegov maximum je 4 MHz. A kao što ti je rekao yt1nvs, ako ti je to ceo program, jednostavno nema tu šta da blinka. E sad, meni još nije jasno koje si to stvari uspešno odradio sa PIC-evima jer, bez ljutnje, deluje mi da si početnik u ovome. Bez brige, tu smo da pomognemo.
A moja prva pomoć se sastoji u rečenici "Čitati, čitati i samo čitati" jer primera sa blinkanjem LED ima mnogo, a najverovatnije da ima i u uputstvu za kompajler koji koristiš, bar je tako u uputstvu za PBP, mada je na osnovu 3 linije koje si napisao teško pogoditi u čemu radiš. Evo ga i konkretan primer iz tog uputstva:

Code:

loop:High PORTB.0        ‘ Turn on LED
     Pause 500           ‘ Delay for .5 seconds
     Low PORTB.0         ‘ Turn off LED
     Pause 500           ‘ Delay for .5 seconds
     Goto loop           ‘ Go back to loop and blink LED forever
     End



Pozdrav.
Sinisha
[ t.marko016 @ 09.04.2010. 10:59 ] @
Ma kad sam rekao radio sam sa nesto starijim podrazumeva se na 12F seriju, radio sam takve pocetnicke treptave projekte nista ozbiljnije osim sto sam poceo onaj daljinski za dnevno svetlo.

Jesam pocetnik i to totalni i to me ne vredja pa niko se naucen nije rodio.

To za citati, citati i samo citati si 100% upravu ali ne znam odakle da skinem neku knjigu ali na srpski, prekopao sam ceo net i nista pa ako ima nesto a vi posaljite.
A inace sve sto nadjem u skracenom obliku na sajtovima ja pogledam.

Sa kodovima nemam problema, radio sam i komplikovanijih i kad ga iskompajliram ne prijavljuje gresku.

Ali eto ako moze neka sema kao sto sam naveo.

Unapred hvala i hvala na dosadasnjim odgovorima.
[ korak @ 09.04.2010. 18:04 ] @
Mozda nema nikakve veze sa tvojim problemom, ali ja ne dopustam da mi se MCU razlicito ponasa kada dodirnem prstom kristal. To se desava sa nekim kristalima. Tada obavezno kuciste kristala vezem za signalnu masu i dodir prsta mu nista ne moze.

Pozdrav.
[ bogdan.kecman @ 09.04.2010. 20:14 ] @
ako ti je taj program prosao upisivanje na pic (i verifikaciju) onda je jedini razlog da treperi (umesto da svetli)
- vezan mclr kako ne treba
- vezan osc kako ne treba
- konfigurisan osc kako ne treba
[ t.marko016 @ 09.04.2010. 22:12 ] @
Kad importujem hex izbaci mi:
"Warning: No cofiguration words in hex file.
In MPLAB use File-Export to save hex with config."
Ja ga onda Export-ujem sa PICKit2 programamom ucitam ga opet i tek onda kaze "Hex file sucessfuly imported" mada radi i ovako.

Kad idem Write izbaci "Programming Successful" znaci to je OK.

Kad ga verifikujem isto izbaci da je OK "Verification Successful".

Ja kazem nesto sam pobrkao u povezivanju 100%.

Clock - Kristal sam vezao paralelno sa osc1 i osc2 nozicama a njega sam vezao redno sa kondenzatorima od 22pF koji su vezani na minus.

MCLR - (Ovde je najverovatnije greska). Na MCLR sam vezao katodu diode(ne LED diodu) pa na nju kond. od 100nF koji je vezan na minus a izmedju toga stavio 10k na Vdd.

OSC - Posto koristim kristal od 10MHz tako sam ga i konfigurisao. I da nije "PIC16F84" nego "PIC16F84A", sto znaci podrzava kristale do 20MHz tako da ni tu nije greska.

Posto mi se cini da sam vecinu stvari ukapirao osim ovo za MCLR, dok cekam odgovor probacu PIC ovako bez PICKit-a, dovescu pet volti na 10k pa na MCLR..., tako bi moralo da radi :) inace otvaram prozor cisto da ne pravim haos po kuci.

Hvala...
[ rsinisa @ 09.04.2010. 22:55 ] @
Ta rečenica "Warning: No cofiguration words in hex file." te samo upozorava da konfiguraciona reč nije u HEX-u i da pripaziš da li ti odgovara podrazumevana konfiguracija, a ako ne onda da to ručno podesiš, što u PICkit2 softveru i nije baš komforno jer nema opisa funkcija pojedinih bitova. Zato je dobra praksa da se konfiguracija postavi u sorsu.
Nemoj da bacaš PICkit2, problem nije do njega već do tebe, što ne znači da ti treba da ideš kroz prozor ;) već da se naoružaš strpljenjem i literaturom i sve će leći na svoje mesto.

Pozdrav.
Sinisha
[ t.marko016 @ 09.04.2010. 23:03 ] @
Hvala Sinisa na ohrabrenju ali ne bi moga sebe da izbacim to je ipak caletov posao samo on vise voli da to radi kroz zatvoren prozor sto se meni ne svidja :).

Evo nazvrljao sam semu pa vidite dal je nesto pogresno.
[ rsinisa @ 10.04.2010. 00:42 ] @
Sad sam pročitao sve postove još jednom i shvatio da ti je Kecman rekao gde je problem, ali izgleda da niko to nije zapazio. Kada radiš sa frekvencom većom od 4 MHz, moraš da podesiš oscilator na HS (high speed). Probaj to, a ubaci i otpornik izmedju PIC-a i LED, neki od 270 do npr. 680 oma.
I da, još uvek nam nisi rekao u kom programskom jeziku pišeš program (ili mi je i to promaklo) ?
A što se tiče literature na srpskom, vrti se tu link domaćeg autora, ja sad ne mogu da se setim detalja, ali potraži malo po forumu, naći ćeš.

Pozdrav.
Sinisha

P.S. Sem tog otpornika za LED koji fali, shema je u redu.
[ bogdan.kecman @ 10.04.2010. 13:09 ] @
Citat:
Evo nazvrljao sam semu pa vidite dal je nesto pogresno.


pogresno je svasta :( ... pogledaj prvi moj odgovor

1. mclr blok kondenzator do gnd-a fali
2. decoupling kondenzatori fale - bez ovoga uC ne moze da radi, otvaranje i zatvaranje gate-ova na uC-u kratkotrajno pravi "kratak spoj" i ako nemas decoupling kondenzator da to ispegla uC se resetuje

dalje, bar 10uF na napajanju .. toga isto nema na semi, dalje, D1 - uC moze da sorsuje max 10mA ako povuces vise imas "nezeljene posledice" - limitiraj to sa nekih 400-800 oma (ja koristim 680R na primer) . dakle izmedju ledare i uC-a stavi 680R otpornik

dalje, na semi nisi vss vezao na gnd, pretpostavljam da jesi u "realnom projektu" posto tesko da bi ti ga pickit2 isprogramirao bez vezanog vss-a

Citat:
OSC - Posto koristim kristal od 10MHz tako sam ga i konfigurisao

nisi, posto da jesi to bi bilo upisano u hex, a kako nije znaci da ti fali konfiguracija. kada ga "exportujes" iz pickit2 aplikacije on ubaci "default" (tj ono sto naklikas u pickit2 programu) u hex

za 10MHz ti treba kao sto sinisa rece HS oscilator (CONFIG :$2007 : 0x3FFA )

i na kraju, evo ti zakacen hex (mikroC je koristen) pa probaj dal radi


dalje, kako se zavrsava tvoj program ? da li imas neku praznu petlju posle toga ili si samo "zavrsio" - zavisno od kompajlera, sada ce uC da nastavi da izvrsava "smece" i "ko zna sta ce da se desi ... moras da dodas na kraju nesto tipa

Code:

void main()
 TRISA = 0xFF;
 TRISB = 0;
 PORTB = 0xFF;
 while(1);
}


ovo while(1); ce da zaustavi program tu gde je



[ rsinisa @ 10.04.2010. 13:55 ] @
Bogdane, potpuno si u pravu za ove "falinke" na shemi, ali i bez toga će da radi za potrebe testiranja (otpornik za LED je obavezan jer će u protivnom ona brzo da ispusti dušu).

Pozdrav.
Sinisha
[ t.marko016 @ 10.04.2010. 15:23 ] @
A bre ljudi ovo jos uvek nece, nece ni da trepne.

Dodao sam kond. 100nF od MCLR na GND.

Dodao sam i ove "decoupling capacitor" elektrolitski na Vdd i jedan na Vss oba su od 1uF.

Software ga prepoznaje, izbrisem ga celog pa ubacim onaj kod koji je Bogdan okacio i sve super ga na pece i kad ukljucim Target
kao da ga nisam ni ukljucio, nista se ne desava.
[ t.marko016 @ 10.04.2010. 15:58 ] @
Ljudi radi, izgleda da me je jedan kondenzator potprckivao, sasvim slucajno reko da ga proverim unimerom i unimer nikakvu frek. ne izbacuje.
Ja zamenim i on siba ko lud.

Hvala vam puno... da nije bilo vas leteo bi PICKit pa samo tako :).
[ t.marko016 @ 10.04.2010. 16:19 ] @
Ako moze kod kako da deklarisem taj HS oscilator. Ja sam sve vreme mislio da se to podesava u kompajler gde pise "Clock:".

I probo sam da uradim neki moj program i nece da radi, izgleda da mu je to problem.
[ bogdan.kecman @ 10.04.2010. 16:30 ] @
koji kompajler? na svakom se podesava drugacije.

na mikroC treba da u "edit project" za 18F84A odaberes HS oscilator (i pogasis WD i ostale stvari koje ne koristis). Onaj deo gde setujes frekvenciju je bitan da bi kompajler pravilno napravio delay() i slicne funkcije

u csc-u koristis fuse .. ako se dobro secam ... #config fuse_hs

[ t.marko016 @ 10.04.2010. 17:06 ] @
Hvala bratac, koristim mikroC i mikroBasic to je to radi kao sto si opisao.
[ bogdan.kecman @ 11.04.2010. 06:04 ] @
super, znaci sad ti sve sljaka ?
[ t.marko016 @ 11.04.2010. 12:29 ] @
Sve bratac cepa ko ludo.

Stavio sam osam dioda pa ih palio gasio naizmenicno, sve sam zivo pokusao sa njima sto se moze uraditi.
Samo mi ostaje da savladam jos PWM i posle se bacam malo na kontrolu DC i Step motora.
[ bogdan.kecman @ 11.04.2010. 12:36 ] @
mozda ti pomogne... to sam ja burazeru pravio da proba da skonta kako rade uC-ovi ... imas u mikroC-u napravljeno

- mikrokontroler PIC16F887 koji radi na internom oscilatoru na 8MHz
- reset kolo na MCLR pinu
- primer kako kontrolisati 2 ledare preko samo jednog pina
- karakter LCD u 4-bitnom modu (zakacena su paralelno dva, jedan sa jednom linijom i jedan sa dve)
- unipolarni stepper motor vezan preko ULN2003 (koji je u stvari 7 darlingtona u jednoj kutiji)
- bipolarni stepper motor sa L297+L298 drajver kombinacijom
- servo motor

http://elco.crsndoo.com/wordpress/2009/10/mikroc-lcd-stepper/

isti kod ce ti raditi i za 16F84A uz male prepravke (i externi oscilator)

uzdravlje
[ t.marko016 @ 11.04.2010. 13:14 ] @
Samo sto ovaj moj ima manjak nekih dvadesetak pinova.

Ali nije bitno cak sto vise bolje je da se malo pomucim da izmenim kod, semu...

U svakom slucaju hvala ti, kroz ovaj projekat se moze savladati dosta toga.
[ bogdan.kecman @ 11.04.2010. 14:02 ] @
mislim da imas dovoljno pinova na 84 .. a ako izbacis lcd imas sigurno :)
[ t.marko016 @ 11.04.2010. 20:40 ] @
Ovo je dobar izazov za nekog pocetnika kao sto sam ja. Al malo truda (dobro malo vise :)), malo cituckanja i cu ga sastavim.
[ t.marko016 @ 11.04.2010. 22:11 ] @
Ajde da ne otvaram novu temu pitacu ovde sta su to PULL-UP otpornici?

Cuo sam to na sto mesta a pojma nemam cemu sluze.
[ Sepa011 @ 11.04.2010. 23:18 ] @
Otpornik koji se vezuje izmedju ulaznog pina mikrokontrolera i napona napajanja (odatle naziv pullup -> povuci na gore) koji sluzi da ti obezbedi stabilno stanje kada imas (recimo prekidac) koji je normalno otvoren. sluzi da ulazni pin ne 'pliva'. Praktican primer 4k7 do 10k izmedju MCLR i +5V

Takodje postoji i izraz PULL DOWN, otpornik izmedju ulaznog pina mikrokontrolera i mase.
[ t.marko016 @ 11.04.2010. 23:58 ] @
Aha shvatam. To sa prekidacem, taj otpornik sa tim naponom bi trebao da predstavlja log. 1 a kad je pritisnut prekidac log. 0 i onda tako MCU
zna kad je pretisnut prekidac/taster?
[ t.marko016 @ 12.04.2010. 01:18 ] @
Ljudi ja zagrizo za ove mikrokontrolere ko ne znam ko za sta ;).

Naso sam u Help Menu-u mikroC-a neko objasnjenje za PWM.
Uspeo sam da sacinim kod gledavsi otuda i najbitnije je sto ga razumem.

Ono sto ne razumem je sledece:
Sta je to CCP modul koji mora da ima MCU da bi ovaj kod funkcionisao?

Kod mene prijavljuje gresku kod dela "Pwm_Init(5000);".
Dal to znaci da moj 16F84A ne podrzava CCP modul ili sam ja negde pogresio?
Code:

unsigned short P;

void Pwm_Init(unsigned long freq);
void Pwm_Start(void);
void Pwm_Stop(void);

void InitMain()
{
TRISA = 0x01;

PORTB = 0x00;
TRISB = 0x00;
TRISB.f0 = 0x01;
TRISB.f1 = 0x01;

Pwm_Init(5000);
}

void main()
{
  InitMain();
  P = 80;
  Pwm_Start();
  
  while(1){
  if (Button(&PORTB, 0, 1, 1)){
  P++;}
  if (Button(&PORTB, 1, 1, 1)){
  P--;}
  Delay_ms(200);
  }
}
[ rsinisa @ 12.04.2010. 01:35 ] @
Da bi video da li tvoj kontroler ima CCP modul, pogledaš tehničko uputstvo za njega, a i tamo ti je sve objašnjeno.

Pozdrav.
Sinisha
[ t.marko016 @ 12.04.2010. 01:39 ] @
A inace kako ti se cini kod jel je u redu?
[ t.marko016 @ 12.04.2010. 01:58 ] @
Vraga ne podrzava.

Al znam da je moguce da se uradi slicno s njim ali na tezi nacin.

U svakom slucaju hvala Sinisa.
[ rsinisa @ 12.04.2010. 06:27 ] @
Jedno od rešenja je korišćenje interapta, ali najbolje rešenje je da uzmeš kontroler koji ima PWM, npr 16F627.
Što se tiče koda, ne govorim C, ali mi deluje OK na prvi pogled. Jedino što bih ja one 3 instrukcije posle PORTB = 0x00 zamenio sa
TRISB=0x03.

Pozdrav.
Sinisha
[ macedo @ 12.04.2010. 09:25 ] @
Citat:
Kod mene prijavljuje gresku kod dela "Pwm_Init(5000);".
Dal to znaci da moj 16F84A ne podrzava CCP modul ili sam ja negde pogresio?



- 16F84A nema CCP modul
= Podrzavam predlog za promenu microkontrolera 16F62X
[ t.marko016 @ 12.04.2010. 09:55 ] @
Hvala vam ljudi narucujem kasnije 18F452 ali cu ipak da se malo pomucim sa interpatom kao sto je rekao Sinisa.

Sto veca muka boljih plodova kasnije daje ;).
[ t.marko016 @ 13.04.2010. 00:23 ] @
Prijavljuje gresku da Button funkcija nije definisana. Sta sam zgresio?
Code:

void main() {

   TRISA = 1;
   TRISB = 0;
   TRISB.f0 = 1;
   
 while(1){
   if(Button(&PORTB, 0, 1, 0)){
      PORTB.f5 = 1;
   }
   else if (Button(&PORTB, 0, 1, 1)){
      PORTB.f5 = 0;
   }
 }
}

Da ne kazete da se nisam trudio nego samo zalepio ovde i cekam na milostinju:

Google-ao sam i nasao neke primere. Ali nece kod mene.

Sad ne shvatam neke stvari ovde:
Code:

sbit Button_Pin           at PINB.B0;
sbit Button_Pin_Direction at DDRB.B0;

Izbacuje gresku u ovaj kod(zasto)?
Malo je glupo pitanje ali bolje da pitam nego da skitam:
"Button_Pin" ja odredjujem ime ili je striktno ovako kako jest (pitam to jel gledam nigde kroz petlju ne koristi taj naziv...)?

Ili jos bolje ako moze neko da mi kaze za sta se koristi "sbit"?
[ bogdan.kecman @ 13.04.2010. 21:48 ] @
ja sam malo zardjao sa mikroC-om ali ako se dobro secam, kada kreiras projekat pita te koje biblioteke hoces da ukljucis ... ako ne ukljucis button biblioteku, nemas tu funkciju definisanu ... ja sam tu uvek odabirao "ocu sve" i to je uvek radilo :)
[ t.marko016 @ 14.04.2010. 10:33 ] @
Mene me nista ne pita kad idem novi projekat. Samo se pojavi ono pozorce da iskucam ime, lokacija... idem OK i on cepa.

Trazio sam svuda po program da ih ukljucim i nigde nisam nasao.
[ t.marko016 @ 14.04.2010. 12:18 ] @
Imao sam neku glupu verziju, sad sam nasao mikroC Pro i cepa.
[ bogdan.kecman @ 14.04.2010. 13:13 ] @
Citat:
t.marko016: Imao sam neku glupu verziju, sad sam nasao mikroC Pro i cepa.


mali off topic ali ... ja sam malo alergican na "nadjene" programe, posebno kada su domace proizvodnje... i posebno kad kostaju ispod 100E .. nadjem i ja ponekad neki program (al eto vec se par dana smaram sa eagle-om da bi batalio te nadjene) ali sve sto stvarno koristim na kraju i kupim ... (ove smrdljive windoze vec imaju na sebi software-a placenog vise nego bilo koje 3 masine zajedno iz moje kuce) ... kapiram da nadjes proteus koji kosta 5000E i koji neces zaraditi time sto radis narednih 2-3 godine .. samo za njega da radis, ali mikroc kosta ispod 100e

elem .. mikroelektronika je nasa, domaca, kuca ... sve i da nisi iz .rs vec iz .exyu i dalje, ja ne pravim razliku i .hr proizvode i .ba proizvode gledam kao "domace" ... momci sede tamo kod z stanice i ubijaju se od rada, sramota je da im ameri placaju a mi da ih krademo :( ... drugo, mikroC kao i ostali mikro* proizvodi imaju demo verziju koja je full funkcionalna osim sto ima limit na velicinu izlaznog koda ... taj limit je veci nego gomila piceva koje ces koristiti za igranje .. i dovoljan je za prilicno ozbiljne testove ... a kad ti bude trebalo preko toga, onda ces imati interes i da uzmes legalno tu aplikaciju... (meni se ne svidja sto im je key vezan za hdd na koji je aplikacija instalirana ali to je sad druga prica)

[ t.marko016 @ 14.04.2010. 17:43 ] @
Ima istine ali ipak sam ja jos pocetnik, da vidim kako mi ide ovo s mikrokontrolerima pa ako se opredelim da upisem nesto dalje vezano za MCU-e sto i da ne platim originalnu verziju.

Pozdrav
[ bogdan.kecman @ 14.04.2010. 18:00 ] @
pa da, ali cela fora je sto mozes da testiras sve sa demo verzijom koja je free i koja je "najnovija" i koja radi super ...

Citat:

in Demo version, hex output is limited to 2k of program words.



to je DUPLO vise nego sto pic18F84A ima!!!

imas gomilu kontrolera koji imaju manje od 2K tako da za njih demo verzija je u stvari "full" ... za ove druge imas limit ali ti je taj limit vise nego dovoljan za igranje
[ t.marko016 @ 14.04.2010. 19:44 ] @
Hehehe

Pretvaramo temu u obicnu raspraviju, evo obecavam kradem ih samo mesec mozda dva dok ne udjem u problematiku i onda cu...ko zna sta ;).

Uzgred stigo je 18F4525 jos nisam stigo da ga eksperimentisem ali sad se bacam na poso pa gde zaglibim cujemo se opet.
[ t.marko016 @ 15.04.2010. 23:28 ] @
Zasto ovo nece:
Code:

void main()
{
  TRISA = 1;
  TRISB = 0;
  TRISC = 0;
  TRISD = 0;
  TRISE = 0;
  
do {
  if (Button(&PORTA, 0, 1, 1))
  {
    PORTD = ~PORTD;
  }
} while(1);
}


Povezao sam tasterom +5V i RA0, pokusao sam i preko otpornika od 10k i bez njega i nikako nece.
Sve lepo iskompajlira kod ali kad ga prebacim nista nece. U pitanju je 18F4525.
[ t.marko016 @ 16.04.2010. 17:23 ] @
Pokusao sam kod na 16F84A i radi.

Na 18F4525 verovatno ne radi zasto sto ima hiljada registra na jedan pin pa ne znam da ih ispogasim.
[ Sepa011 @ 16.04.2010. 17:43 ] @
Otvoris Datasheet od 18F4525 i bacis se na citanje, citanje i samo citanje.

Potrudis se da razumes sta tih 'hiljada registra na jedan pin' znaci kako bi mogao da konfigurises taj mikrokontroler.
[ t.marko016 @ 16.04.2010. 17:53 ] @
Pravo da ti recem upravo to bas i radim .

Pozdrav
[ Sepa011 @ 16.04.2010. 19:56 ] @
Jes da koristim ME razvojne sisteme, kompajler mi je Proton+, a iz njegovog 'include' fajla za tvoj uC su sledeci konfiguracioni bitovi:

Code:
Fuse Name Definitions
[CONFIGSTART]
CONFIG1H EQU 0X300001
CONFIG2L EQU 0X300002
CONFIG2H EQU 0X300003
CONFIG3H EQU 0X300005
CONFIG4L EQU 0X300006
CONFIG5L EQU 0X300008
CONFIG5H EQU 0X300009
CONFIG6L EQU 0X30000A
CONFIG6H EQU 0X30000B
CONFIG7L EQU 0X30000C
CONFIG7H EQU 0X30000D
; CONFIG1H Options
OSC_LP_1 EQU 0XF0 ; LP
OSC_XT_1 EQU 0XF1 ; XT
OSC_HS_1 EQU 0XF2 ; HS
OSC_RC_1 EQU 0XF3 ; RC
OSC_EC_1 EQU 0XF4 ; EC-OSC2 as Clock Out
OSC_ECIO6_1 EQU 0XF5 ; EC-OSC2 as RA6
OSC_HSPLL_1 EQU 0XF6 ; HS-PLL Enabled
OSC_RCIO6_1 EQU 0XF7 ; RC-OSC2 as RA6
OSC_INTIO67_1 EQU 0XF8 ; INTRC-OSC2 as RA6, OSC1 as RA7
OSC_INTIO7_1 EQU 0XF9 ; INTRC-OSC2 as Clock Out, OSC1 as RA7
FCMEN_OFF_1 EQU 0XBF ; Disabled
FCMEN_ON_1 EQU 0XFF ; Enabled
IESO_OFF_1 EQU 0X7F ; Disabled
IESO_ON_1 EQU 0XFF ; Enabled
; CONFIG2L Options
PWRT_ON_2 EQU 0XFE ; Enabled
PWRT_OFF_2 EQU 0XFF ; Disabled
BOREN_OFF_2 EQU 0XF9 ; Disabled
BOREN_ON_2 EQU 0XFB ; SBOREN Enabled
BOREN_NOSLP_2 EQU 0XFD ; Enabled except Sleep, SBOREN Disabled
BOREN_SBORDIS_2 EQU 0XFF ; Enabled, SBOREN Disabled
BORV_0_2 EQU 0XE7 ; Maximum setting
BORV_1_2 EQU 0XEF ;
BORV_2_2 EQU 0XF7 ;
BORV_3_2 EQU 0XFF ; Minimum setting
; CONFIG2H Options
WDT_OFF_2 EQU 0XFE ; Disabled
WDT_ON_2 EQU 0XFF ; Enabled
WDTPS_1_2 EQU 0XE1 ; 1:1
WDTPS_2_2 EQU 0XE3 ; 1:2
WDTPS_4_2 EQU 0XE5 ; 1:4
WDTPS_8_2 EQU 0XE7 ; 1:8
WDTPS_16_2 EQU 0XE9 ; 1:16
WDTPS_32_2 EQU 0XEB ; 1:32
WDTPS_64_2 EQU 0XED ; 1:64
WDTPS_128_2 EQU 0XEF ; 1:128
WDTPS_256_2 EQU 0XF1 ; 1:256
WDTPS_512_2 EQU 0XF3 ; 1:512
WDTPS_1024_2 EQU 0XF5 ; 1:1024
WDTPS_2048_2 EQU 0XF7 ; 1:2048
WDTPS_4096_2 EQU 0XF9 ; 1:4096
WDTPS_8192_2 EQU 0XFB ; 1:8192
WDTPS_16384_2 EQU 0XFD ; 1:16384
WDTPS_32768_2 EQU 0XFF ; 1:32768
; CONFIG3H Options
MCLRE_OFF_3 EQU 0X7F ; Disabled
MCLRE_ON_3 EQU 0XFF ; Enabled
LPT1OSC_OFF_3 EQU 0XFB ; Disabled
LPT1OSC_ON_3 EQU 0XFF ; Enabled
PBADEN_OFF_3 EQU 0XFD ; PORTB<4:0> digital on Reset
PBADEN_ON_3 EQU 0XFF ; PORTB<4:0> analog on Reset
CCP2MX_PORTBE_3 EQU 0XFE ; Multiplexed with RB3
CCP2MX_PORTC_3 EQU 0XFF ; Multiplexed with RC1
; CONFIG4L Options
STVREN_OFF_4 EQU 0XFE ; Disabled
STVREN_ON_4 EQU 0XFF ; Enabled
LVP_OFF_4 EQU 0XFB ; Disabled
LVP_ON_4 EQU 0XFF ; Enabled
XINST_OFF_4 EQU 0XBF ; Disabled
XINST_ON_4 EQU 0XFF ; Enabled
DEBUG_ON_4 EQU 0X7F ; Enabled
DEBUG_OFF_4 EQU 0XFF ; Disabled
; CONFIG5L Options
CP0_ON_5 EQU 0XFE ; Enabled
CP0_OFF_5 EQU 0XFF ; Disabled
CP1_ON_5 EQU 0XFD ; Enabled
CP1_OFF_5 EQU 0XFF ; Disabled
CP2_ON_5 EQU 0XFB ; Enabled
CP2_OFF_5 EQU 0XFF ; Disabled
; CONFIG5H Options
CPB_ON_5 EQU 0XBF ; Enabled
CPB_OFF_5 EQU 0XFF ; Disabled
CPD_ON_5 EQU 0X7F ; Enabled
CPD_OFF_5 EQU 0XFF ; Disabled
; CONFIG6L Options
WRT0_ON_6 EQU 0XFE ; Enabled
WRT0_OFF_6 EQU 0XFF ; Disabled
WRT1_ON_6 EQU 0XFD ; Enabled
WRT1_OFF_6 EQU 0XFF ; Disabled
WRT2_ON_6 EQU 0XFB ; Enabled
WRT2_OFF_6 EQU 0XFF ; Disabled
; CONFIG6H Options
WRTB_ON_6 EQU 0XBF ; Enabled
WRTB_OFF_6 EQU 0XFF ; Disabled
WRTC_ON_6 EQU 0XDF ; Enabled
WRTC_OFF_6 EQU 0XFF ; Disabled
WRTD_ON_6 EQU 0X7F ; Enabled
WRTD_OFF_6 EQU 0XFF ; Disabled
; CONFIG7L Options
EBTR0_ON_7 EQU 0XFE ; Enabled
EBTR0_OFF_7 EQU 0XFF ; Disabled
EBTR1_ON_7 EQU 0XFD ; Enabled
EBTR1_OFF_7 EQU 0XFF ; Disabled
EBTR2_ON_7 EQU 0XFB ; Enabled
EBTR2_OFF_7 EQU 0XFF ; Disabled
; CONFIG7H Options
EBTRB_ON_7 EQU 0XBF ; Enabled
EBTRB_OFF_7 EQU 0XFF ; Disabled
DEVID1 EQU 0X3FFFFE
DEVID2 EQU 0X3FFFFF
IDLOC0 EQU 0X200000
IDLOC1 EQU 0X200001
IDLOC2 EQU 0X200002
IDLOC3 EQU 0X200003
IDLOC4 EQU 0X200004
IDLOC5 EQU 0X200005
IDLOC6 EQU 0X200006
IDLOC7 EQU 0X200007
[CONFIGEND]

tako da imas cime za se 'zabavljas'
[ t.marko016 @ 16.04.2010. 20:34 ] @
Hvala bratac...